Low Latency E-Tile 40G Ethernet Intel® FPGA IP Design Example User Guide

ID 683747
Date 6/22/2020
Public

1. Quick Start Guide

Updated for:
Intel® Quartus® Prime Design Suite 20.2
IP Version 20.0.0

The Low Latency E-Tile 40G Ethernet Intel® FPGA IP core provides a simulation testbench and a hardware design example that supports compilation and hardware testing. When you generate the design example, the Intel® Quartus® Prime IP parameter editor automatically creates the files necessary to simulate, compile, and test the design in hardware.

In addition, you can download the compiled hardware design to the Intel device-specific development kit for interoperative testing. The Intel® FPGA IP also includes a compilation-only example project that you can use to quickly estimate IP core area and timing.

The Low Latency E-Tile 40G Ethernet Intel® FPGA IP supports design example generation with a wide range of parameters. However, the design examples do not cover all possible parameterizations of the Low Latency E-Tile 40G Ethernet Intel® FPGA IP Core.

Figure 1. Development Steps for the Design Example