TMC-10107: Maximum Fan-out for Signal

Description

Maximum fan-out on wires (in designs using Intel Hyperflex architecture) always prevents Hyper-Retimer from retiming wires, limiting the achievable design performance.

Note: Use of this rule requires that the Report Source Assignments option is On in the Advanced Synthesis Settings dialog box. This option is on by default.

Recommendation

Consider performing the following:

  • Apply the max_fanout attribute to the source register instead of wire.
  • Duplicate the source registers. Refer to DUPLICATE_REGISTER and DUPLICATE_HIERARCHY_DEPTH assignments for automated solutions. Alternatively, you can edit the RTL to create duplicate copies.

    If you edit the RTL, apply the preserve_syn_only attribute to duplicate registers and assign the duplicates to individual instances in the fan-out hierarchy.

Severity

Low

Tags

Tag Description
synthesis Design rule checks which pertain to the Compiler's Analysis & Synthesis stage.
register-duplication Design rule checks related to duplication of registers in the design, either manually or automatically.

Device Family

  • Intel®Agilex™
  • Intel®Stratix® 10