Verilog HDL: Signed Multiplier-Adder

author-image

By

This example describes a 16-bit signed multiplier-adder design with pipeline registers in Verilog HDL. Synthesis tools are able to detect multiplier-adder designs in the HDL code and automatically infer the altmult_add megafunction to provide optimal results.

Figure 1. Signed multiply-adder top-level diagram.

The use of this design is governed by, and subject to, the terms and conditions of the Intel® Design Example License Agreement.