Article ID: 000083974 Content Type: Error Messages Last Reviewed: 07/13/2015

Warning (332174): Ignored filter at altera_pci_express.sdc(16): *altpcie_rs_serdes|busy_altgxb_reconfig* could not be matched with a register

Environment

  • Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Description

    You will receive these fitter warnings when compiling your Altera® Hard IP for PCI Express® IP in the Quartus® II software.

    Warning (332174): Ignored filter at altera_pci_express.sdc(16): *altpcie_rs_serdes|busy_altgxb_reconfig* could not be matched with a register
    Warning (332049): Ignored set_false_path at altera_pci_express.sdc(16): Argument <to> is an empty collection
    Info (332050): set_false_path -to   [get_registers *altpcie_rs_serdes|busy_altgxb_reconfig*]

    Resolution These warnings may be safely ignored.

    Related Products

    This article applies to 12 products

    Cyclone® V SX SoC FPGA
    Cyclone® V GT FPGA
    Stratix® V GX FPGA
    Cyclone® V GX FPGA
    Stratix® V GT FPGA
    Stratix® V GS FPGA
    Arria® V GZ FPGA
    Arria® V SX SoC FPGA
    Cyclone® V ST SoC FPGA
    Arria® V ST SoC FPGA
    Arria® V GX FPGA
    Arria® V GT FPGA