Timing Analyzer Reporting Point-to-Point Delays

author-image

By

The Timing Analyzer command report_path, you can generate delay reports from any arbitrary node to any arbitrary node in the design. This command is useful for debugging and determining the data or clock delay between any two nodes. The report_path command reports only delays between two keeper nodes, i.e. ports, registers, etc. The following example shows the command to report the delay between pin A and pin B.

report_path -from [get_pins A] –to [get_pins B] –panel_name “My Delays”