Timing Analyzer Create Clock Command

author-image

By

You can use the create_clock command to create a clock at any register, port, or pin. You can create each clock with unique characteristics. The following list shows the create_clock command and the available options:

create_clock
     -period <period value>
     [-name <clock name>]
     [-waveform <edge list>]
     [-add]
     <source objects>

Table 1 describes the options for the create_clock command.

Note:

  1. The default time unit in the Timing Analyzer is nanoseconds (ns).

Clocks defined with the create_clock command have a default source latency value of zero. The Timing Analyzer automatically computes the clock's network latency for non-virtual clocks.

100MHz Clock Creation

This example shows how to create a 10 ns clock with a 50 percent duty cycle, where the first rising edge occurs at 0 ns applied to port clk.

create_clock -period 10 -waveform { 0 5 } clk

100MHz Clock Shifted by 90 Degrees Clock Creation

This example shows how to create a 10 ns clock with a 50 percent duty cycle that is phase-shifted by 90 degrees applied to port clk_sys.

create_clock -period 10 -waveform { 2.5 7.5 } clk_sys