TMC-20001: Timing Paths with Hold Slack Exceeding Threshold

Description

Violations of this rule identify timing paths with hold slack below the slack threshold parameter. Timing paths with a very large negative hold requirement complicate timing closure and may cause excessive run times. Such paths are likely invalid, and require appropriate timing constraints.

Parameters

Name Description Type Default Value Min Value Max Value
slack_threshold A violation is reported for timing paths that have slack more negative than the value of this parameter. double -5.0 0.0  

Recommendation

Ensure that the timing path is valid. Otherwise, apply an appropriate timing exception ( set_false_path or set_multicycle_path ) or restructure the path.

Severity

Medium

Tags

Tag Description
impossible-requirements Design rule checks which check the requirements on failing timing paths and flag those which fail by construction.
sdc Design rule checks related to SDC validity checking.

Device Family

  • Intel®Agilex™
  • Intel®Stratix® 10
  • Intel®Arria® 10
  • Intel®Cyclone® 10 GX