VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) Definition ALTERA_MF_COMPONENTS.VHDin the<Intel® Quartus® Prime installation directory>\libraries\vhdl\altera directory.

component lcell

    port (

        a_in : in std_logic;

        a_out : out std_logic);

end component;