Exemples de multiplexeur d’horloge Analyseur de synchronisation

author-image

Par

L’Analyseur de synchronisation facilite l’utilisation des commandes Synopsys® Design Constraint (SDC) pour limiter les structures d’horloge complexes, telles que les horloges multiplexées. Les suivants montrent trois circuits d’exemple et les commandes SDC appropriées pour les limiter.

Figure 1. Affiche un circuit simple de registre à enregistrer, cadenché par le port de l’entac.

Supposez que le port dulk est piloté par un multiplexeur hors puce qui choisit entre deux horloges, l’un avec une période de 10 ns et l’autre un port avec une période de 8 ns. Les commandes SDC suivantes montrent comment attribuer plusieurs horloges au port delk. Il montre également comment ajouter une exception indiquant que les deux horloges ne seront jamais actives en même temps dans le FPGA.

# Créez les deux horloges du port
create_clock -nom clk_100 -période 10 [get_ports clk]
create_clock -nom clk_125-période 8 [get_ports clk]
-Ajoutez #Set les deux horloges comme horloges exclusives
set_clock_groups -exclusive -group {clk_100} -group {clk_125}

Figure 2. Affiche un circuit de registre à enregistrer simple avec un multiplexeur d’horloge sur le FPGA, avec deux ports d’horloge : clkA et clkB.

Supposez que le port clkA est piloté par une horloge d’une période de 10 ns et que le port dulkB est piloté par une horloge d’une période de 8 ns. Les commandes SDC suivantes montrent comment attribuer les horloges. Cet exemple est semblable à celui de l’exemple précédent, mais les horloges sont affectées à des ports distincts.

# Créez une horloge sur chaque port
create_clock -nom clk_100 -période 10 [get_ports clkA]
create_clock -nom clk_125-période 8 [get_ports clkB] -Add
#Set les deux horloges comme horloges exclusives
set_clock_groups -exclusive -group {clk_100} -group {clk_125}

Figure 3. Montre un circuit d’horloge plus complexe avec des multiplexeurs d’horloge liés sur le FPGA.

Dans ce cas, vous devez utiliser la commande set_clock_groups pour indiquer que les horloges A et D, A et B, C et D, et B et C, ne peuvent jamais être actives en même temps.

create_clock -nom A-period 10 [get_ports clkA]
create_clock -nom B-period 8 [get_ports clkB]
create_clock -nom C-period 8 [get_ports clkC]
create_clock -nom D-period 10 [get_ports clkD]
#cut paths entre les horloges
set_clock_groups -exclusive -group {A C} -groupe {B D}

Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.