Verilog HDL : compteur avec réinitialisation asynchrone

author-image

Par

Cet exemple décrit un compteur 8 bits avec des entrées de réinitialisation et de nombre asynchrones dans verilog HDL. Les outils de synthèse détectent les contre-conceptions en code HDL et inférablent lpm_counter mégafunction.

Figure 1. Compteur avec schéma de réinitialisation asynchrone de haut niveau

Téléchargez les fichiers utilisés dans cet exemple :

Télécharger counter.zip ›

Compteur de téléchargement avec fichier README de réinitialisation asynchrone ›

L’utilisation de cette conception est régie par les conditions générales du contrat de licence d’Intel® Design Example et soumises.

Le Tableau 1 répernumère les ports et donne une description de chacun d’entre nous.

Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.