ID de l'article: 000076587 Type de contenu: Dépannage Dernière révision: 30/10/2017

Pourquoi est-ce que je vois une défaillance de synchronisation entre mgmt_clk et frame_clk dans un exemple de conception JESD204B en mode émetteur simplex ?

Environnement

  • Intel® Quartus® Prime Pro Edition
  • FPGA Intel® IP JESD204B
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    Pour un exemple de conception jeSD204B de mode émetteur simplex ciblant Intel® Arria® 10 périphériques, vous pouvez observer des violations de synchronisation entre les mgmt_clk et les frame_clk dans la version 17.0 ou une version ultérieure du Sofware Intel® Quartus® Prime Pro Edition. Ces deux domaines d’horloge sont en fait asynchrones les uns aux autres et il est donc sûr de couper les chemins entre les deux domaines.

    Résolution

    Pour contourner ce problème, modifiez le fichier altera_jesd204_ed_.sdc et ajoutez la frame_clk (u_altera_jesd204_ed_qsys_|core_pll|core_pll|frame_clk) à la contrainte de set_clock_groups comme suit :

    set_clock_groups -asynchrone -groupe {device_clk \

    u_altera_jesd204_ed_qsys_|core_pll|core_pll|frame_clk \

    u_altera_jesd204_ed_qsys_|core_pll|core_pll|link_clk \

    ...} \

    -group {mgmt_clk...} \

    -groupe {altera_reserved_tck}

    Ce problème est résolu à partir de Intel® Quartus® logiciel Prime Pro Edition version 17.1.

    Produits associés

    Cet article concerne 1 produits

    FPGA et FPGA SoC Intel® Arria® 10

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.