ID de l'article: 000076734 Type de contenu: Information et documentation de produit Dernière révision: 07/10/2020

Comment puis-je résoudre les avertissements de fermeture de synchronisation lors de la compilation d’une conception avec le Intel® FPGA IP JESD204C en mode base uniquement ?

Environnement

  • Intel® Quartus® Prime Pro Edition
  • FPGA Intel® IP JESD204B
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    Il est possible que vous voyiez certains ou tous les messages d’avertissement ci-dessous lors de la compilation d’une conception avec le Intel® FPGA IP JESD204C en mode de base uniquement dans les versions 20.1 ou 20.2 du logiciel Intel® Quartus® Prime Pro Edition.

     

    Identifiant du message

    Texte du message

    17897

    Aucune période d’horloge de destination n’a été trouvée satisfaisante pour l’affectation de set_net_delay de « [get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component|auto_generated|delayed_wrptr_g*}] » à « [get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_ dcfifo132b_m20k|dcfifo_component|auto_generated|rs_dgwp|dffpipe*|d fdi*}] ». Cette affectation sera ignorée.

    332182

    Aucune voie n’est trouvée comme une cession satisfaisante « set_max_skew de [get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component|auto_generated|*rdptr_g*}] à [get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component| auto_generated|ws_dgrp|dffpipe*|d fdi*}] -get_skew_value_from_clock_period src_clock_period -skew_value_multiplier 0,800 « . Cette affectation sera ignorée.

    332174

    Filtre ignoré à intel_jesd204c.sdc (81) : -le groupe ne pouvait pas être adapté avec une horloge

    332049

    Ignoré create_clock à intel_jesd204c.sdc(64) : l’argument est une collection vide

    332054

    La set_clock_groups de cession est acceptée mais a des problèmes à intel_jesd204c.sdc (81) : Argument -group with value -group {j204c_txphy_clk[0]} -group {j204c_txphy_clk[1]} -group {j204c_txphy_clk[2]} -group {j204c_txphy_clk[1]} -group {j204c_txphy_clk[2]} -group {group j204c_txphy_clk[3]} -group {j204c_txphy_clk[4]} -group {j204c_txphy_clk[5]} -group {j204c_txphy_clk[6]} -group {j204c_txphy_clk[7]} ne pouvait correspondre à aucun élément des types suivants : (clk)

    332060

    Nœud : j204c_txphy_clk a été déterminée comme une horloge, mais a été trouvée sans affectation d’horloge associée.

    Résolution

    Pour résoudre ce problème dans les versions 20.1 ou 20.2 du logiciel Intel® Quartus® Prime Pro Edition, remplacez certaines lignes dans le fichier intel_jesd204c.sdc, comme indiqué ci-dessous.

     

    base simplex rx uniquement(... /intel_jesd204c_rx_191/synth/intel_jesd204c.sdc):

    modification de :

    77 défini overall_clock « »

    78 pour {set j 0} { $j < 4} { incr j} {

    79 annexe overall_clock « -group {j204c_rxphy_clk[$j]} »

    80                           }

    81 set_clock_groups -asynchrone -group {j204c_rx_avs_clk} -group {j204c_rxlink_clk j204c_rxframe_clk} $overall_clock

    À

    77 défini overall_clock « »

    78 réglages clock_grp « »

    79 pour {set j 0} { $j < 4} { incr j} {

    80 annexes overall_clock « -group {j204c_rxphy_clk[$j]} »

    81                           }

    82 ensemble clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_rx_avs_clk} -group {j204c_rxlink_clk j204c_rxframe_clk} }

    83 annexes clock_grp $clock_grp_tmp $overall_clock

    $clock_grp d’eval 84

     

    base simplex tx uniquement(.../intel_jesd204c_tx_191/synth/intel_jesd204c.sdc):

    modification de :

    63 pour {set i 0} { $i < 4} {incr i} {

    64 eval {create_clock -nom « j204c_txphy_clk[$i] » -période 3,945 ns [get_ports j204c_txphy_clk[$i]}

    65           }

    .

    .

    .

    78 pour { jeu j 0 } { $j < 4} { incr j} {

    79 annexe overall_clock « -group {j204c_txphy_clk[$j]} »

    80                           }

    81 eval {set_clock_groups -asynchronous -group {j204c_tx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk} $overall_clock}

    À

    64 eval {create_clock -nom « j204c_txphy_clk » -période 3,945 ns [get_ports j204c_txphy_clk]}

    .

    .

    .

    79 défini clock_grp « »

    80 annexes overall_clock {-group {j204c_txphy_clk} }

    81 jeu clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_tx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk} }

    82 annexes clock_grp $clock_grp_tmp $overall_clock

    $clock_grp d’eval 83

     

    base duplex uniquement(.../intel_jesd204c_tx_191/synth/intel_jesd204c.sdc):

    modification de :

            64 create_clock nom « j204c_rxphy_clk[$i] » -période 3,945 ns [get_ports j204c_rxphy_clk[$i]]

    65 create_clock nom « j204c_txphy_clk[$i] » -période 3,945 ns [get_ports j204c_txphy_clk[$i]]

    66                           }

    .

    .

    .

    86 réglages overall_clock « »

    87 pour { jeu j 0 } { $j < 4} { incr j} {

    88 annexes overall_clock « -group {j204c_rxphy_clk[$j]} -group {j204c_txphy_clk[$j]} »

    89                           }

    90 set_clock_groups -asynchrone -group {j204c_tx_avs_clk j204c_rx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk j204c_rxlink_clk j204c_rxframe_clk} $overall_clock

    À

            64 create_clock nom « j204c_rxphy_clk[$i] » -période 3,945 ns [get_ports j204c_rxphy_clk[$i]]

    65                           }

    66 eval create_clock nom « j204c_txphy_clk » -période 3,945 ns [get_ports j204c_txphy_clk]

    .

    .

    .

    87 défini overall_clock « »

    88 réglages clock_grp « »

    89 annexes overall_clock {-group {j204c_txphy_clk} }

    90 défini clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_tx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk} }

    91 pour {set j 0} { $j < 4} { incr j} {

    92 annexes overall_clock « -group {j204c_rxphy_clk[$j]} »

    93                               }

    94 ensemble clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_rx_avs_clk} -group {j204c_rxlink_clk j204c_rxframe_clk} }

    95 annexes clock_grp $clock_grp_tmp $overall_clock

    96 $clock_grp d’eval

     

    Remarque : toutes les valeurs de la période d’horloge dépendent des valeurs sélectionnées par l’utilisateur.

     

     

    Ce problème est résolu à partir de la version 20.3 du logiciel Intel Quartus Prime Pro Edition.

    Produits associés

    Cet article concerne 3 produits

    FPGA et FPGA SoC Intel® Agilex™ 7 série F
    FPGA Intel® Stratix® 10 MX
    FPGA Intel® Stratix® 10 TX

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.