ID de l'article: 000077019 Type de contenu: Dépannage Dernière révision: 18/12/2019

Pourquoi le rapport de conception de l’exemple Intel® Arria® 10 et le Intel® Cyclone® 10 Avalon®-ST ou Avalon®-MM pour l’exemple IP PCI Express* ne prend-il pas en compte les avertissements de contrainte des SDC ?

Environnement

  • Intel® Quartus® Prime Pro Edition
  • Intel® Quartus® Prime Standard Edition
  • Hard IP pour PCI Express* Intel® Arria® 10 Cyclone® 10
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problème critique

    Descriptif

    Lors de la compilation de l’exemple d’interface Intel® Arria® 10 ou Intel® Cyclone® 10 Avalon®-ST ou Avalon® -MM pour l’exemple IP PCI Express* généré à l’aide de la version 19.4 ou antérieure du logiciel Intel® Quartus® Prime, les avertissements de contrainte SDC suivants ignorés seront visibles.

    Avertissement (332174) : le filtre ignoré à altera_xcvr_native_a10_false_paths.sdc(63) : *twentynm_xcvr_native_inst|*inst_twentynm_pcs|*twentynm_hssi_*_pld_pcs_interface*|pld_10g_krfec_tx_pld_rst_n ne pouvait pas être apparié avec une broche

    Avertissement (332174) : le filtre ignoré de altera_xcvr_native_a10_false_paths.sdc(53) : *twentynm_xcvr_native_inst|*inst_twentynm_pcs|*twentynm_hssi_*_pld_pcs_interface*|pld_pmaif_tx_pld_rst_n ne pouvait pas être apparié avec une broche

    Avertissement (332049) : set_max_skew ignoré à altera_pci_express.sdc(34) : Argument -to with value [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg[*]}] contient zéro éléments

    Avertissement (332049) : set_max_skew ignoré à altera_pci_express.sdc(35) : Argument -to with value [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg_1[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg_1[*]}] contient zéro éléments

    Avertissement (332049) : les arguments set_max_delay ignorés à altera_pci_express.sdc(37) : l’argument est une collection vide

    Avertissement (332174) : filtre ignoré à altera_pci_express.sdc(38) : *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_sc_bitsync_node:rx_polinv_dbg.dbg_rx_valid_altpcie_sc_bitsync_1|altpcie_sc_bitsync:altpcie_sc_bitsync|altpcie_sc_bitsync_meta_dff[0] ne pouvait pas être apparié avec une horloge ou un keeper, ni un registre ou un port, ou une broche, ou une cellule ou une partition

    Avertissement (332049) : set_false_path ignoré à altera_pci_express.sdc(38) : l’argument n’est pas un ID d’objet

    Avertissement (332174) : filtre ignoré à altera_pci_express.sdc(39) : *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_sc_bitsync_node:rx_polinv_dbg.dbg_rx_valid_altpcie_sc_bitsync|altpcie_sc_bitsync:altpcie_sc_bitsync|altpcie_sc_bitsync_meta_dff[0] ne pouvait pas être adapté à une horloge, un keeper, un registre, un port, une broche, une cellule ou une partition

    Avertissement (332049) : set_false_path ignoré à altera_pci_express.sdc(39) : l’argument n’est pas un ID d’objet

                 

    Ces avertissements de contrainte SDC peuvent être ignorés.

     

    Résolution

    L’utilisateur peut ignorer en toute sécurité ces avertissements de contrainte SDC

    Produits associés

    Cet article concerne 2 produits

    FPGA Intel® Cyclone® 10 GX
    FPGA et FPGA SoC Intel® Arria® 10

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.