ID de l'article: 000077346 Type de contenu: Messages d'erreur Dernière révision: 30/06/2020

Erreur (17086) : erreur HDL Verilog à alt_xcvr_native_rcfg_strm_params_qhuzj7i.sv

Environnement

  • Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    En raison d’un bogue dans la version 20.2 du logiciel Quartus® Prime et les versions précédentes, il est possible que vous voyiez une variation de l’erreur de synthèse suivante si vous avez activé des profils de reconfiguration dans le Arria® 10 périphérique IP PHY natif ou l’IP PLL ATX.

    Voici un exemple d’erreur de synthèse IP native de PHY

    Erreur (17086) : erreur HDL Verilog à alt_xcvr_native_rcfg_strm_params_mcrso7a.sv(746) : l’expression possède 735 éléments ; 736 prévus

     

    Voici un exemple d’erreur de synthèse IP ATX PLL

    Erreur (17086) : erreur HDL Verilog à alt_xcvr_native_rcfg_strm_params_oks6upi.sv(126) : l’expression comporte 115 éléments ; 116 prévu

     

    La largeur des éléments d’expression varie en fonction de l’IP et du nombre de profils activés dans votre IP PHY native et ATX PLL IP.

    Ce problème se produit lorsqu’un nombre impair de profils de reconfiguration se trouve dans votre IP PHY native ou l’IP PLL ATX. Par exemple 1, 3, 5, 7.

    Résolution

    Pour contourner ce problème, vous pouvez dupliquer un profil de reconfiguration existant et augmenter le nombre de profils d’un seul afin que le nombre total dans votre IP PHY native ou ATX PLL IP soit égal. Par exemple 2, 4, 6 ou 8.

    Ce problème est résolu avec la version 20.3 du logiciel Intel Quartus Prime.

    Produits associés

    Cet article concerne 1 produits

    FPGA et FPGA SoC Intel® Arria® 10

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.