ID de l'article: 000078329 Type de contenu: Dépannage Dernière révision: 11/09/2012

Pourquoi les rapports Encounter Conformal ne correspondent-ils pas lors de la vérification officielle des conceptions, y compris la lpm_counter mégafunction ?

Environnement

  • Vérification
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    Des erreurs de vérification officielles se produisent dans les conceptions, notamment la lpm_counter mégafunction lorsque deux signaux de contrôle asynchrones ou plus du lpm_counter mégafunction(aset, aclr, aload)sont utilisés.

    Pour éliminer ces écarts, appliquez la propriété de la boîte noire à l’emballage de la lpm_counter mégafunction dans le logiciel Quartus® II avant de compiler la conception.

    Pour plus d’informations sur la vérification officielle à l’aide de Conformal, reportez-vous au chapitre PDF (Cadence Encounter Conformal Support) dans le volume 3 du manuel de Quartus II.

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.