ID de l'article: 000079083 Type de contenu: Information et documentation de produit Dernière révision: 04/04/2014

Comment limiter le cœur IP de Serial RapidIO lors de l’implémentation de plusieurs instances dans un système Qsys ?

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    Lorsque vous générez un système Qsys qui contient l’IP Serial RapidIO®, Qsys génère un script(.tcl)et un fichier de contrainte de conception Synopsys(.sdc)pour votre IP.

    Le fichier .sdc ne fonctionne pas correctement lorsqu’il existe plusieurs instances d’IP Serial RapidIO dans votre système Qsys.  Le problème est que les déclarations create_generated_clock correspondent à plusieurs horloges et échouent.  Vous les verrez dans le rapport sur les contraintes ignorées dans l’Analyseur de synchronisation timequest™.

    Résolution

    Pour contourner ce problème, faites une copie des déclarations de create_generated_clock pour chaque instance de l’IP Serial RapidIO, puis modifiez les noms des horloges afin qu’elles soient uniques et modifiez les filtres source et cible afin qu’ils incluent le nom de l’instance.

    Par exemple, changez cela :
    create_generated_clock -name clk_div_by_two_rio_blocks_rapidio_3 -source [get_nets *rio_blocks_rapidio_3_rio_inst*tx_clkout[0]] -divide_by 2 [get_nets *rio_blocks_rapidio_3*riophy_xcvr|clk_div_by_two]

    à cela :
    create_generated_clock -name clk_div_by_two_rio_blocks_rapidio_3_myinst -source [get_nets *my_inst*rio_blocks_rapidio_3_rio_inst*tx_clkout[0]] -divide_by 2 [get_nets *my_inst*rio_blocks_rapidio_3*riophy_xcvr|clk_div_by_two]

    Ce problème devrait être résolu dans une version ultérieure du logiciel Quartus® II.

    Produits associés

    Cet article concerne 1 produits

    FPGA Stratix® II GX

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.