ID de l'article: 000079452 Type de contenu: Dépannage Dernière révision: 02/07/2013

Les contraintes de synchronisation SDC pour PHY IP Core pour PCI Express (PIPE) sont incorrectes

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problème critique

    Descriptif

    Les contraintes de conception Synopsys (SDC) répertoriées pour l’IP PHY Cœur pour PCI Express (PIPE) dans l’émetteur-récepteur Altera Le Guide de l’utilisateur du cœur IP de PHY est incorrect. Les contraintes correctes sont répertoriés ci-dessous.

    #analyzing at 250 MHz create_generated_clock -name clk_g3 -source [get_ports {pll_refclk}] divide_by 2 -multiply_by 5 -duty_cycle 50 -phase 0 -offset 0[get_nets {*pipe_nr_inst|transceiver_core|inst_sv_xcvr_native|inst_sv_pcs|ch[*]. inst_sv_pcs_ch|inst_sv_hssi_tx_pld_pcs_interface|pld8gtxclkout}] -add #analyzing at 62.5MHz create_generated_clock -name clk_g1 -source [get_ports {pll_refclk}] -divide_by 8 -multiply_by 5 -duty_cycle 50 -phase 0 -offset 0 [get_nets {*pipe_nr_inst|transceiver_core|inst_sv_xcvr_native|inst_sv_pcs|ch[*]. inst_sv_pcs_ch|inst_sv_hssi_tx_pld_pcs_interface|pld8gtxclkout}] -add #creating false paths between these clock groups set_clock_groups -asynchronous -group [get_clocks clk_g3] set_clock_groups -asynchronous -group [get_clocks clk_g1] set_clock_groups -asynchronous -group [get_clocks *pipe_nr_inst|transceiver_core|inst_sv_xcvr_native|inst_sv_pcs|ch[*]. inst_sv_pcs_ch|inst_sv_hssi_8g_tx_pcs|wys|clkout]
    Résolution

    Ces contraintes de synchronisation pour le cœur IP PHY pour PCI Express sont inclus dans la version 13.0 SP1 de l’émetteur-récepteur Altera Guide de l’utilisateur de PHY IP Core.

    Produits associés

    Cet article concerne 1 produits

    FPGA Stratix® V

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.