ID de l'article: 000085427 Type de contenu: Dépannage Dernière révision: 11/09/2012

ncvhdl_cg : *interne* (cas non valide - nextVectorParamTemp())

Environnement

  • Simulation
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif Cette erreur interne peut se produire dans la version 5.1 p005 du simulateur NC-Sim lors de la configuration d’un Quartus®Netlist généré par II.

    Cette erreur interne a été corrigée dans la version 5.1 s010 du simulateur NC-Sim, version prise en charge par le logiciel Quartus II version 4.1.

    Produits associés

    Cet article concerne 1 produits

    FPGA Cyclone®

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.