ID de l'article: 000085854 Type de contenu: Dépannage Dernière révision: 08/02/2013

La simulation du cœur IP SerialLite II avec ModelSim SE 10.1b peut tomber en panne

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problème critique

    Descriptif

    Si vous utilisez des graphiques Mentor® ModelSim® SE 10.1b pour réaliser une simulation d’une conception ciblant un Stratix GX et qui comprend un cœur IP SerialLite II, la simulation peut Échouer.

    Résolution

    Dans le logiciel ModelSim SE, activez l’option d’acc pour le optimiseur vopt en exécutant Vsim avec la commande vsim -voptargs=" acc" .

    Produits associés

    Cet article concerne 1 produits

    FPGA Stratix® GX

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.