ID de l'article: 000086681 Type de contenu: Information et documentation de produit Dernière révision: 28/07/2017

Comment définir un test de boucle infinie du générateur de trafic EMIF Arria 10 EMIF ?

Environnement

  • FPGA Intel® Arria® 10 IP pour interfaces de mémoire externe
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    Lorsque vous générez un exemple d’Arria®10 EMIF, un générateur de trafic est implémenté par défaut, mais les paramètres du générateur de trafic ne peuvent pas être configurés via Qsys.

     

    Résolution

    Après avoir généré le HDL pour la Arria conception de l’exemple 10 EMIF, changez les fichiers IP Qsys top avec le paramètre générique comme suit :

    . TEST_DURATION (« INFINI »),

    Le générateur de trafic effectuera des tests de lecture/écriture infiniment.

    Produits associés

    Cet article concerne 1 produits

    FPGA et FPGA SoC Intel® Arria® 10

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.