Exemple d’analyseur de synchronisation : exceptions au multicycle

author-image

Par

Avec la commande Synopsys® Design Constraint (SDC) set_multicycle_path, vous pouvez spécifier le nombre de cycles d’horloge autorisés, en ce qui concerne la destination ou l’horloge source, permettant aux données de se propager entre les registres source et destination. Cela est utile dans la situation indiquée à la Figure 1.

Figure 1 montre un circuit simple sur lequel un multicycle de 2 est nécessaire pour le registre de destination reg2. Register reg2 doit loqueter ces données chaque deuxième cycle d’horloge.

Figure 1. Chemin multicycle de l’inscription.

Les commandes SDC ci-dessous limitent les horloges du circuit ci-dessus.

#Constrain the base clock

create_clock -period 10.000 [get_ports clk_in]

#Constrain the PLL output clock

create_generated_clock -source inst|inclk[0] -multiply_by 2 \
-name inst|clk[1] inst|clk[1]

#Constrain the input and output ports

set_input_delay -clock clk_in 1.2 [get_ports data_in]
set_input_delay -clock clk_in 1.5 [get_ports async_rst]
set_output_delay -clock clk_in 2 [get_ports data_out]

#Apply a multicycle of 2 to registers reg1 and reg2
#By default the multicycle is relative to the destination clock waveform

set_multicycle_path -setup -end -from [get_pins reg1|clk] -to [get_pins reg2|*] 2

Téléchargez l’exemple de circuit multicycle_exception.qar.

L’utilisation de cette conception est régie par les conditions générales du contrat de licence d’Intel® Design Exampleet soumises .

Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.