Analyseur de synchronisation configurant la commande False Path

author-image

Par

Vous pouvez utiliser la commande set_false_path spécifier les faux chemins de votre conception (c’est-à-dire des chemins qui peuvent être ignorés lors de l’analyse du timing). La liste suivante indique les options disponibles pour la commande set_false_path :

set_false_path
     [liste des <de >]
     [à la liste <to>]
     [liste <thru à <thru>]

Le Tableau 1 décrit les options de la commande set_false_path.

Lorsque les objets sont des nœuds de synchronisation, le faux chemin ne s’applique qu’au chemin entre les deux nœuds. Lorsque l’objet est une horloge, le faux chemin s’applique à tous les chemins dans lesquels le nœud source (pour -from) ou le nœud de destination (pour -pour) est cadencé par l’horloge.

Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.