Commandes de retard maximales et minimales de l’analyseur de synchronisation

author-image

Par

Délai minimum

Vous pouvez utiliser la commande set_min_delay pour spécifier un délai minimum absolue pour une voie donnée. La liste suivante indique la commande set_min_delay, y compris les options disponibles :

set_min_delay [de la
     liste <de liste>] [à la
     liste <to>]
     [liste <thru>]
     <delay valeur>

Le tableau 1 décrit les options de la commande set_min_delay.

Si le nœud source ou de destination est cadencé, les chemins d’horloge sont pris en compte, ce qui permet un délai plus ou moins important sur le chemin de données. Si le nœud de source ou de destination présente un retard d’entrée ou de sortie, ce retard est également inclus dans la vérification minimale du retard. Lorsque les objets sont des nœuds de synchronisation, le délai minimum s’applique uniquement à la trajectoire entre les deux nœuds. Lorsqu’un objet est une horloge, le retard minimum s’applique à tous les chemins dans lesquels le nœud source (pour -from) ou le nœud de destination (pour -pour) est cadencé par l’horloge.

Vous pouvez appliquer l’exception de commande set_min_delay à un port de sortie qui n’utilise pas de contrainte de set_output_delay. Dans ce cas, le résumé de la configuration et le résumé de la prise en main indiquent la souplesse de ces chemins. Comme aucune horloge n’est associée au port de sortie, aucune horloge n’est signalée pour ces chemins, et la colonne d’horloge est vide. Dans ce cas, vous ne pouvez pas signaler la synchronisation de ces chemins.

Remarque : pour signaler la synchronisation à l’aide de filtres d’horloge pour les chemins de sortie de la commande set_min_delay, vous devez utiliser la commande set_output_delay pour le port de sortie d’une valeur de 0. Vous pouvez utiliser une horloge existante de la conception ou une horloge virtuelle comme référence d’horloge dans la commande set_output_delay.

Retard maximal

Vous pouvez utiliser la commande set_max_delay pour spécifier un délai maximal absolue pour une voie donnée. La liste suivante indique la commande set_max_delay, y compris les options disponibles :

set_max_delay
     [-from <de list>]
     [-to-<to list>]
     [liste <thru>]
     <delay value>

Le tableau 2 décrit les options de la commande set_max_delay.

Si le nœud source ou de destination est cadencé, les chemins d’horloge sont pris en compte, ce qui permet un délai plus ou moins important sur le chemin de données. Si le nœud de destination ou de source présente un retard d’entrée ou de sortie, ce retard est également inclus dans la vérification maximale du retard.

Lorsque les objets sont des nœuds de synchronisation, le retard maximal ne s’applique qu’à la trajectoire entre les deux nœuds. Lorsqu’un objet est une horloge, le retard maximal s’applique à tous les chemins dans lesquels le nœud source (pour -from) ou le nœud de destination (pour -pour) est cadencé par l’horloge.

Vous pouvez appliquer l’exception de commande set_max_delay à un port de sortie qui n’utilise pas de contrainte de set_output_delay. Dans ce cas, le résumé de la configuration et le résumé de la prise en main indiquent la souplesse de ces chemins. Comme aucune horloge n’est associée au port de sortie, aucune horloge n’est signalée pour ces chemins, et la colonne d’horloge est vide. Dans ce cas, vous ne pouvez pas signaler la synchronisation de ces chemins.

Remarque : pour signaler la synchronisation à l’aide de filtres d’horloge pour les chemins de sortie avec la commande set_max_delay, vous devez utiliser la commande set_output_delay pour le port de sortie d’une valeur de 0. Vous pouvez utiliser une horloge existante de la conception ou une horloge virtuelle comme référence d’horloge dans la commande set_output_delay.

Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.