FAQ sur l’analyse et l’optimisation de la puissance

Questions-réponses (FAQ) sur les outils d’analyse et d’optimisation de puissance Intel PowerPlay pour le logiciel Intel Quartus Prime.

FAQ

Foire aux questions

Pour les familles Intel® Stratix® 10, Intel Agilex® 7 FPGAs et plus récentes, la technologie d’analyse de puissance Intel comprend l’outil Calculateur de puissance et thermique (PTC) et des outils d’analyse de puissance dans le logiciel Intel® Quartus® Prime. Vous pouvez télécharger l’outil PTC à partir du Centre de téléchargement des logiciels FPGA en sélectionnant la dernière version du logiciel Intel Quartus Prime Pro et en accédant à l’onglet Logiciels supplémentaires.

Pour Intel® Arria® 10 ans et les parties antérieures, la technologie d’analyse de puissance d’Intel comprend des estimateurs de puissance précoce (EPE) basés sur Excel et des outils d’analyse de puissance dans le logiciel Intel® Quartus® Prime. Vous pouvez télécharger l’EPE à partir du site Web d’Intel pour la famille de périphériques spécifique.

L’analyseur de puissance est intégré au logiciel Intel Quartus Prime.

Ces outils d’analyse de puissance vous permettent d’estimer la consommation d’énergie depuis le début de la conception jusqu’à la mise en œuvre de la conception.

Pour les familles Intel® Stratix® 10, Intel Agilex® 7 FPGAs et plus récentes, utilisez le PTC pour estimer la consommation électrique de votre conception avant de la créer ou pendant le processus de conception. Vous pouvez effectuer une analyse thermique préliminaire de votre conception et planifier la gestion de l’alimentation.

Pour les familles Intel® Arria® 10 et antérieures, utilisez l’EPE pour estimer la consommation d’énergie de votre conception avant de créer la conception ou pendant le processus de conception. Vous pouvez effectuer une analyse thermique préliminaire de votre conception et planifier la gestion de l’alimentation.

Utilisez l’outil d’analyse de puissance pour obtenir une estimation précise de la consommation une fois la conception terminée, en veillant à ce que les budgets thermiques et d’alimentation ne soient pas respectés.

L’analyseur de puissance fournit un cadre flexible pour spécifier les activités du signal. Cela reflète l’importance d’utiliser des données représentatives sur l’activité du signal lors de l’analyse de la puissance. Utilisez les sources suivantes pour fournir des informations sur l’activité du signal :

  • Résultats de la simulation
  • Affectations de nœud, d’entité et d’horloge saisies par l’utilisateur
  • Affectation de la fréquence de basculement par défaut saisie par l’utilisateur
  • Estimation sans vecteurs

L’analyseur de puissance vous permet également de combiner les sources de données d’activité du signal signal par signal.

La précision de l’estimation de la puissance dépend de l’étape de la conception. Pour une conception partiellement achevée ou en phase de conception, utilisez le PTC ou l’EPE (selon le cas) pour obtenir une estimation initiale de la puissance. Pour les conceptions terminées, l’estimation de la consommation basée sur la simulation générée à partir de l’analyseur de puissance fournit une estimation précise de la consommation par rapport aux premières estimations de consommation.

Pour les Intel® Stratix® 10, Agilex et plus récents, la précision du modèle d’alimentation est déterminée sur une base par rail d’alimentation pour l’analyseur de puissance Intel Quartus Prime.

Pour la plupart des conceptions Intel® Stratix® 10, l’analyseur de puissance Intel Quartus Prime présente la précision suivante, en supposant des modèles de puissance finaux : Dans les 10 % du silicium pour la majorité des rails d’alimentation avec une puissance plus élevée, en supposant des entrées et des taux de basculement précis.

Pour la plupart des conceptions Intel Agilex, l’analyseur de puissance Intel Quartus Prime présente la précision suivante, en supposant des modèles d’alimentation finaux : Dans les 10 % du silicium pour tous les rails d’alimentation, en supposant des entrées et des taux de basculement précis.

Pendant les 10 Intel® Arria® et avant, l’outil d’analyse de puissance est précis (à ±20 %) de la consommation électrique réelle de l’appareil, à condition que les vecteurs d’entrée fournis soient représentatifs de l’opération de conception typique. La précision des résultats de l’estimateur de puissance précoce est généralement inférieure à ±20% des estimations de l’analyseur de puissance, en supposant une entrée parfaite du taux de basculement.

Oui. L’analyseur de puissance basé sur la simulation est plus précis car il utilise des détails de conception tels que le routage, le placement et les résultats de simulation pour améliorer la précision.

Les informations sur l’activité du signal et la probabilité statique peuvent être dérivées d’un fichier de vidage de changement de valeur (.vcd) généré par les simulateurs EDA.

Voir le chapitre 2.3.2.1. Utilisation des données d’activité du signal de simulation dans l’analyse de puissance du Guide de l’utilisateur de Intel® Quartus® Prime Pro Edition : Analyse et optimisation de la puissance, pour plus d’informations sur la façon de générer un fichier .vcd.

Le logiciel Intel Quartus Prime offre une compilation pilotée par la puissance pour optimiser pleinement la consommation d’énergie de l’appareil. La compilation pilotée par la puissance se concentre sur la réduction de la consommation totale d’énergie de votre conception à l’aide de la synthèse pilotée par l’énergie et du lieu et de l’itinéraire pilotés par la puissance.

Pour plus d’informations sur les différentes techniques d’optimisation de l’alimentation, reportez-vous au Guide de l’utilisateur de Intel® Quartus® Prime Pro Edition : Analyse et optimisation de la puissance

La technologie d’alimentation programmable vous permet de programmer la logique de base dans les FPGAs Stratix® III et Stratix® IV pour une vitesse élevée ou une faible consommation, en fonction des exigences de conception. La technologie d’alimentation programmable permet aux FPGAs Stratix III et Stratix IV de fournir la puissance la plus faible et les performances les plus élevées.

Par exemple, pour placer un transistor NMOS au cœur de Stratix IV FPGAs sur :

  • Mode basse consommation, le logiciel Intel Quartus Prime réduit la tension de polarisation arrière (la rendant plus négative), ce qui rend le transistor plus difficile à activer. Cela réduit le courant de fuite et économise de l’énergie.
  • En mode hautes performances, le logiciel Intel Quartus Prime augmente la tension de polarisation arrière (la rendant moins négative), ce qui rend le transistor plus facile à allumer dans les quelques chemins critiques de synchronisation pour aider à répondre aux contraintes de synchronisation spécifiées de la conception et offrir des performances maximales.

Le logiciel Intel Quartus Prime contrôle automatiquement quelle logique fonctionne en mode haute vitesse et laquelle fonctionne en mode basse consommation, en fonction des contraintes de synchronisation spécifiées pour la conception.

Pour plus d’informations sur la technologie d’alimentation programmable, reportez-vous au livre blanc sur la gestion et les avantages de l’alimentation 40 nm .

Reportez-vous à la section « Feuille de calcul du rapport » du Guide de l’utilisateur de l’estimateur de puissance précoce

Les estimations de l’alimentation pour chaque combinaison de densité de périphériques et de boîtiers se trouvent dans l’onglet « Rapport » du PTC/EPE. Utilisez les caractéristiques de puissance « maximale » pour voir le pire des cas de puissance statique ou de veille.

Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.