Intel® Quartus® Prime Standard Edition User Guide: Third-party Simulation

ID 683080
Date 2/05/2024
Public
Document Table of Contents

1.3.2.3. Compilation Command Line Options

Some of the optional command-line arguments for the compilation command (not including HDL file names and library names) include:

  • The type of file for compilation (Verilog HDL, SystemVerilog, or VHDL).
  • The values of the Verilog macros to pass in.
  • The directories containing Verilog "include" files. These are files included in a Verilog HDL file using the `include construct.
  • Simulator-specific optimization switches.