Quartus® Prime Pro Edition Settings File Reference Manual

ID 683296
Date 4/01/2024
Public
Document Table of Contents

VHDL_TEST_BENCH_FILE

Associates a VHDL Test Bench File (.vht) with this project.

Type

File name

Device Support

  • This setting can be used in projects targeting any Intel FPGA device family.

Notes

The value of this assignment is case sensitive.

Syntax

set_global_assignment -name VHDL_TEST_BENCH_FILE <value>