Avalon Verification IP Suite: User Guide

ID 683439
Date 2/17/2022
Public
Document Table of Contents

7.2.28.9. set_enable_c_continuous_waitrequest_from_idle_to_write()

Prototype:

set_enable_c_continuous_waitrequest_from_idle_to_write()

Arguments:

Verilog HDL: Boolean

VHDL: N.A.

Returns:

void

Description:

Enables a coverage group to test waitrequest transfers from their idle state until a waitrequest write.
Language support: Verilog HDL