Intel® Quartus® Prime Standard Edition User Guide: Third-party Synthesis

ID 683796
Date 9/24/2018
Public
Document Table of Contents

1.5.2.1. Running the Intel® Quartus® Prime Software from within the Synplify Software

To run the Intel® Quartus® Prime software from within the Synplify software, you must set the QUARTUS_ROOTDIR environment variable to the Intel® Quartus® Prime software installation directory located in < Intel® Quartus® Prime system directory>\altera\ <version number>\quartus. You must set this environment variable to use the Synplify and Intel® Quartus® Prime software together. Synplify also uses this variable to open the Intel® Quartus® Prime software in the background and obtain detailed information about the Intel FPGA IP cores used in the design.

For the Windows operating system, do the following:

  1. Point to Start, and click Control Panel.
  2. Click System >Advanced system settings >Environment Variables.
  3. Create a QUARTUS_ROOTDIR system variable.

For the Linux operating system, do the following:

  • Create an environment variable QUARTUS_ROOTDIR that points to the <home directory>/altera <version number> location.

You can create new place and route implementations with the New P&R button in the Synplify software GUI. Under each implementation, the Synplify Pro software creates a place-and-route implementation called pr_<number> Altera Place and Route. To run the Intel® Quartus® Prime software in command‑line mode after each synthesis run, use the text box to turn on the place‑and‑route implementation. The results of the place-and-route are written to a log file in the pr_ <number> directory under the current implementation directory.

You can also use the commands in the Intel® Quartus® Prime menu to run the Intel® Quartus® Prime software at any time following a successful completion of synthesis. In the Synplify software, on the Options menu, click Intel® Quartus® Prime and then choose one of the following commands:

  • Launch Quartus —Opens the Intel® Quartus® Prime software GUI and creates a Intel® Quartus® Prime project with the synthesized output file, forward‑annotated timing constraints, and pin assignments. Use this command to configure options for the project and to execute any Intel® Quartus® Prime commands.
  • Run Background Compile—Runs the Intel® Quartus® Prime software in command-line mode with the project settings from the synthesis run. The results of the place-and-route are written to a log file.

The <project_name>_cons.tcl file is used to set up the Intel® Quartus® Prime project and directs the <project_name>.tcl file to pass constraints from the Synplify software to the Intel® Quartus® Prime software. By default, the <project_name>.tcl file contains device, timing, and location assignments. The <project_name>.tcl file contains the command to use the Synplify-generated .scf constraints file with the Timing Analyzer.