L- and H-Tile Transceiver PHY User Guide

ID 683621
Date 1/30/2024
Public
Document Table of Contents

2.3.17. IP Core File Locations

When you generate your Transceiver Native PHY IP, the Intel® Quartus® Prime Pro Edition software generates the HDL files that define your instance of the IP. In addition, the Intel® Quartus® Prime Pro Edition software generates an example Tcl script to compile and simulate your design in the ModelSim simulator. It also generates simulation scripts for Synopsys VCS, Aldec Active-HDL, Aldec Riviera-Pro, and Cadence Incisive Enterprise.

Figure 34. Directory Structure for Generated Files

The following table describes the directories and the most important files for the parameterized Transceiver Native PHY IP core and the simulation environment. These files are in clear text.

Table 83.  Transceiver Native PHY Files and Directories
File Name Description
<project_dir> The top-level project directory.
<your_ip_name> .v or .vhd The top-level design file.
<your_ip_name> .qip A list of all files necessary for Intel® Quartus® Prime compilation.
<your_ip_name> .bsf A Block Symbol File (.bsf) for your Transceiver Native PHY instance.
<project_dir>/<your_ip_name>/ The directory that stores the HDL files that define the Transceiver Native PHY IP.
<project_dir>/sim The simulation directory.
<project_dir>/sim/aldec Simulation files for Riviera-PRO simulation tools.
<project_dir>/sim/cadence Simulation files for Cadence simulation tools.
<project_dir>/sim/mentor Simulation files for Mentor simulation tools.
<project_dir>/sim/synopsys Simulation files for Synopsys simulation tools.
<project_dir>/synth The directory that stores files used for synthesis.

The Verilog and VHDL Transceiver Native PHY IP cores have been tested with the following simulators:

  • ModelSim SE
  • Synopsys VCS MX

If you select VHDL for your transceiver PHY, only the wrapper generated by the Intel® Quartus® Prime Pro Edition software is in VHDL. All the underlying files are written in Verilog or SystemVerilog. To enable simulation using a VHDL-only ModelSim license, the underlying Verilog and SystemVerilog files for the Transceiver Native PHY IP are encrypted so that they can be used with the top-level VHDL wrapper without using a mixed-language simulator.

For more information about simulating with ModelSim, refer to Sourcing Mentor Graphics ModelSim Simulator Setup Scripts.

The Transceiver Native PHY IP cores do not support the NativeLink feature in the Intel® Quartus® Prime Pro Edition software.