Agilex™ 7 Embedded Memory User Guide

ID 683241
Date 3/29/2024
Public
Document Table of Contents

2.10. Freeze Logic

The freeze logic feature specifies whether to implement clock-enable circuitry for use in a partial reconfiguration region.
This feature is applicable only to the RAM modes:
  • Single-port RAM
  • Dual-port RAM
  • Quad-port RAM

You have the option to turn on Implement clock-enable circuitry for use in a partial reconfiguration to enable the freeze logic feature in the parameter editors of the RAM IPs.