Agilex™ 7 Embedded Memory User Guide

ID 683241
Date 3/29/2024
Public
Document Table of Contents

4.3.8.1. Recovery and Removal Timing Violation Warnings when Compiling a DCFIFO

During compilation of a design that contains a DCFIFO, the Quartus® Prime software may issue recovery and removal timing violation warnings.

You may safely ignore warnings that represent transfers from aclr to the read side clock domain. To ensure that the design meets timing, enable the ACLR synchronizer for both read and write domains.

To enable the ACLR synchronizer for both read and write domains, on the DCFIFO 2 tab of the FIFO Intel® FPGA IP core, turn on Asynchronous clear, Add circuit to synchronize ‘aclr’ input with ‘wrclk’, and Add circuit to synchronize ‘aclr’ input with ‘rdclk’.

Note: For correct timing analysis, Intel recommends enabling the Removal and Recovery Analysis option in the Timing Analyzer tool when you use the aclr signal. The analysis is turned on by default in the Timing Analyzer tool.

When the Add circuit to synchronize ‘aclr’ input with ‘wrclk’ and Add circuit to synchronize ‘aclr’ input with ‘rdclk’ options are enabled, you can apply the following false path assignment on the reset path:

  • set_false_path -to *dcfifo:dcfifo_component|dcfifo_*:auto_generated|dffpipe_*:wraclr|dffe*a[0]
  • set_false_path -to *dcfifo:dcfifo_component|dcfifo_*:auto_generated|dffpipe_*:rdaclr|dffe*a[0]

While the metastability issue is resolved by the circuit, the system design still requires a certain maximum delay even though it is asynchronous.