Intel® Cyclone® 10 LP FPGA Evaluation Kit User Guide

ID 683580
Date 12/19/2019
Public
Document Table of Contents

2.1. Installing Quartus Prime Software

To download the Intel® Quartus® Prime Standard Edition software, go to the Quartus Prime Standard Edition page in the Intel® Download Center.

About Intel® Quartus® Prime Software

The Intel® Quartus® Prime design software is a multiplatform design environment that easily adapts to your specific needs in all phases of FPGA, CPLD, and SoC designs. The Intel® Quartus® Prime software delivers the highest performance and productivity for Intel® FPGAs, CPLDs, and SoCs.

The Intel® Quartus® Prime Design Suite design software includes everything needed to design for Intel® FPGAs, SoCs and CPLDs from design entry and synthesis to optimization, verification and simulation.

Intel® Quartus® Prime Standard Edition includes the most extensive support for Intel's latest device families and requires paid license.

Included in the Intel® Quartus® Prime Standard Edition are the Intel® Quartus® Prime software, Nios® II EDS and the MegaCore IP Library.